Club Delphi  
    FTP   CCD     Buscar   Trucos   Trabajo   Foros

Retroceder   Foros Club Delphi > Principal > Varios
Registrarse FAQ Miembros Calendario Guía de estilo Temas de Hoy

Grupo de Teaming del ClubDelphi

Respuesta
 
Herramientas Buscar en Tema Desplegado
  #1  
Antiguo 30-06-2007
atapia atapia is offline
Miembro
 
Registrado: jun 2007
Posts: 22
Poder: 0
atapia Va por buen camino
Duda sobre variables

Hola tengo una pregunta,si declaro una variable dentro de un procedure,puedo en otro procedure usarla o para eso hay q declararla como global al inicio del programa ,por ejemplo:

procedure1()
var x :string;
begin
x:=hola;
end;


procedure2()
begin
edit1.text:=x;
end;

es esto posible?
Disculpen las molestias .
Responder Con Cita
  #2  
Antiguo 30-06-2007
reevil reevil is offline
Miembro
 
Registrado: abr 2006
Posts: 179
Poder: 19
reevil Va por buen camino
puedes declararla privada o global y te funcionara en cualquier parte de tu codigo, pero si lo haces dentro de un procedure solo funcionara ahi
Responder Con Cita
Respuesta



Normas de Publicación
no Puedes crear nuevos temas
no Puedes responder a temas
no Puedes adjuntar archivos
no Puedes editar tus mensajes

El código vB está habilitado
Las caritas están habilitado
Código [IMG] está habilitado
Código HTML está deshabilitado
Saltar a Foro

Temas Similares
Tema Autor Foro Respuestas Último mensaje
Duda sobre DFS enrique_84 Varios 2 08-06-2006 11:53:40
Ayuda sobre operadores y variables!!! rob1 Varios 3 18-09-2005 02:38:30
Duda sobre With lucasarts_18 Varios 6 26-08-2005 19:44:08
Duda con el ámbito de variables cardomjar Varios 6 16-03-2005 12:45:52
Duda sobre ADO Omar Alejandro Conexión con bases de datos 7 31-05-2003 19:52:58


La franja horaria es GMT +2. Ahora son las 08:18:54.


Powered by vBulletin® Version 3.6.8
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Traducción al castellano por el equipo de moderadores del Club Delphi
Copyright 1996-2007 Club Delphi